/*出力ファイルを指定、表示形式を指定*/
initial begin
$dumpfile("tmp.vcd");
$dumpvars(0,TestBench);
$display("time clock clear Q[3:0]");
$monitor("%4d %b %b %4b",$time, clock, clear, outq);
end
/*クロックを生成*/
always begin
#(STEP/2) clock=1'b0;
#(STEP/2) clock=1'b1;
end
/*シミュレーション本体*/
initial begin
#0 clock=1'b0;clear=1'b0;
#STEP clear=1'b1;
#STEP clear=1'b0;
…
#(STEP*20) $finish;
end
いつもの呪文
表示する項目
前述したSTEP
STEP=100
50μS
50μS
周期100μSのクロックを生成
20ステップ後終了
1ステップ後 clear=1'b0
1ステップ後 clear=1'b1