initial begin
$dumpfile("tmp.vcd");
$dumpvars();
$monitor("%t x=%b y=%b z=%b",$time,x,y,z);
x=1'b0; y=1'b0;
#100 y=1'b1;
#100 x=1'b1; y=1'b0;
#100 x=1'b0; y=1'b0;
#100 $finish;
end
endmodule
詳細は後日