最初のページ 戻る 次へ 最後のページ

`timescale 1ns/1ns

module TestBench;

 …

initial begin

$dumpvars(0,TestBench);

$dumpfile("tmp.vcd");

 ・

 ・

 ・

end

endmodule

tmp.vcd

シミュレーションの結果を保存するファイル

名称はなんでもよいが.vcdであることが重要

タイミングチャートを表示するとき、

gtkwave tmp.vcd

として指定する

名称は何でもいいが

一致すること