initial begin
$dumpfile("tmp.vcd");
$dumpvars();
$monitor("%t %b %b %b",$time,x,y,z1);
#0 x=1’b0; y=1’b0;
#100 y=1’b1;
#100 x=1’b1;y=1’b0;
#100 y=1’b1;
#100 x=1’b0;y=1’b0;
#100 $finish;
end
呪文
前の処理から
100ステップ後 x=1 y=0 にする
前の処理から
100ステップ後 シミュレーション終了
`timescale 1ms/1ms
1ステップ=1ms
シミュレーション結果として
表示するのはx,y,z1